Home » , » Latched Logic

Latched Logic

Written By 1 on Thursday, March 1, 2012 | 7:11 PM

Latched Logic

SystemVerilog also provides a special always_latch procedure for modeling latched logic behavior. For example:

always_latch
if(ck) q <= d;

The always_latch procedure determines its sensitivity and executes identically to the always_comb procedure.

Software tools can perform additional checks to warn if the behavior within an always_latch procedure does not represent latched logic.

0 Comment:

Post a Comment