Home » , » verilog code for ACCUMULATOR

verilog code for ACCUMULATOR

Written By 1 on Wednesday, February 29, 2012 | 4:06 AM



Verilog code for a 4-bit unsigned up accumulator with an asynchronous clear.
        module accum (clk, clr, d, q);
input clk, clr;
input [3:0] d;
output [3:0] q;
reg [3:0] tmp;
always @(posedge clk or posedge clr)
begin
if (clr)
tmp <= 4’b0000;
else
tmp <= tmp + d;
end
assign q = tmp;
endmodule

0 Comment:

Post a Comment