Home » , , » VHDL Degital Half ADDER Logic Using NAND Gate Program

VHDL Degital Half ADDER Logic Using NAND Gate Program

Written By 1 on Sunday, April 8, 2012 | 12:07 PM


VHDL program for “Half Adder” design using NAND Gate in Xilinx integrated software environment-
-------------------------------------------------------------------------------
-- Company:Techno Global - Balurghat
-- Engineer:Mr. Jitaditya Mondal
-- Create Date:20:36:38 03/28/12
-- Design Name: HalfAdder Design    
-- Module Name: HalfAdder3 - Structure
-- Project Name:VHDL Program for "Half Adder" using NAND Gate in XILINX Integrated Software Environment
-- Target Device:XC2S15
-- Tool versions:XST(VHDL/Verilog)
-- Revision 0.01 - File Created
-- Additional Comments:
--------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
---------------------------------------------------------------------------------
---- Uncomment the following library declaration if instantiating
---- any Xilinx primitives in this code.
--library UNISIM;
--use UNISIM.VComponents.all;
----------------------------------------------------------------------------------
entity HalfAdder3 is
    Port ( X : in std_logic;
           Y : in std_logic;
           SUM : out std_logic;
           CARRY : out std_logic);
end HalfAdder3;
architecture structure of HalfAdder3 is
component NAND2
    Port ( L : in std_logic;
           M : in std_logic;
           N : out std_logic);
end component;
signal T1, T2, T3, T4: std_logic;
begin
            N1 : NAND2 Port map(X,Y,T1);
            N2 : NAND2 Port map(X,T1,T2);
            N3 : NAND2 Port map(T1,Y,T3);
            N4 : NAND2 Port map(X,Y,SUM);
            N5 : NAND2 Port map(X,Y,T4);
            N6 : NAND2 Port map(T4,T4,CARRY);
end structure;

0 Comment:

Post a Comment