Home » , , » VHDL Digital EX - NOR Program

VHDL Digital EX - NOR Program

Written By 1 on Sunday, April 8, 2012 | 4:31 AM


VHDL program for “XNOR Gate” behavioral design in Xilinx integrated software environment-
-------------------------------------------------------------------------------
-- Company:Techno Global - Balurghat
-- Engineer:Mr. Jitaditya Mondal
-- Create Date:20:36:38 03/28/12
-- Design Name:XNOR Gate Design    
-- Module Name:XNOR1 - Behavioral
-- Project Name:VHDL Program for "Universal Logic Gates" in XILINX Integrated Software Environment
-- Target Device:XC2S15
-- Tool versions:XST(VHDL/Verilog)
-- Revision 0.01 - File Created
-- Additional Comments:
--------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
--------------------------------------------------------------------------------
---- Uncomment the following library declaration if instantiating
---- any Xilinx primitives in this code.
--library UNISIM;
--use UNISIM.VComponents.all;
--------------------------------------------------------------------------------
entity XNOR1 is
    Port ( X : in std_logic;
           Y : in std_logic;
           F : out std_logic);
end XNOR1;
architecture Behavioral of XNOR1 is
begin
Process (X,Y)
begin
                        F <= X XNOR Y;
            end process;
end Behavioral;

VHDL program for “XNOR Gate” architectural design in Xilinx integrated software environment-
-------------------------------------------------------------------------------
-- Company:Techno Global - Balurghat
-- Engineer:Mr. Jitaditya Mondal
-- Create Date:20:36:38 03/28/12
-- Design Name:XNOR Gate Design    
-- Module Name:XNOR2 - Architectural
-- Project Name:VHDL Program for "Universal Logic Gates" in XILINX Integrated Software Environment
-- Target Device:XC2S15
-- Tool versions:XST(VHDL/Verilog)
-- Revision 0.01 - File Created
-- Additional Comments:
--------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
---------------------------------------------------------------------------------
---- Uncomment the following library declaration if instantiating
---- any Xilinx primitives in this code.
--library UNISIM;
--use UNISIM.VComponents.all;
----------------------------------------------------------------------------------
entity XNOR2 is
    Port ( X : in std_logic;
              Y : in std_logic;
              F : out std_logic);
end XNOR2;
architecture XNOR2_arch of XNOR2 is
begin
            process(X,Y)
            begin
             if(X/=Y) then
                        F<='0';
             else
                        F<='1';
             end if;
            end process;
end XNOR2_arch;


0 Comment:

Post a Comment