Home » , , » VHDL Digital PARITY ENCODER Logic Program

VHDL Digital PARITY ENCODER Logic Program

Written By 1 on Monday, April 9, 2012 | 5:33 AM


VHDL program for “Parity Encoder” behavioral design in Xilinx integrated software environment-
-------------------------------------------------------------------------------
-- Company:Techno Global - Balurghat
-- Engineer:Mr. Jitaditya Mondal
-- Create Date: 12:13:00 03/30/12
-- Design Name: Parity Encoder Design    
-- Module Name: ENC4 - Behavioral
-- Project Name:VHDL Program for " Parity Encoder Design" in XILINX Integrated Software Environment
-- Target Device:XC2S15
-- Tool versions:XST(VHDL/Verilog)
-- Revision 0.01 - File Created
-- Additional Comments:
--------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
--------------------------------------------------------------------------------
---- Uncomment the following library declaration if instantiating
---- any Xilinx primitives in this code.
--library UNISIM;
--use UNISIM.VComponents.all;
--------------------------------------------------------------------------------
entity ENC4 is
    Port ( W : in std_logic;
           X : in std_logic;
           Y : in std_logic;
           Z : in std_logic;
           OUTP : out std_logic;
           OUT1 : out std_logic;
           OUT2 : out std_logic);
end ENC4;
architecture Behavioral of ENC4 is
begin
            process (W,X,Y,Z)
            begin
                        OUTP <= W OR X OR Y OR Z;
                        OUT1 <= X OR Z;
                        OUT2 <= Y OR Z;
            end process;
end Behavioral;

0 Comment:

Post a Comment